로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너
세일즈코드
레포트 > 공학,기술계열 정확도순 최신등록순 다운높은순 분량많은순 낮은가격순 높은가격순
컴퓨터 매캐 통신에 대해서-컴퓨터 매개 커뮤니케이션 [새창] →미리보기
[공학,기술계열] 등록일: 2006/10/24 | 등록자: leodica7 | 판매가격: 1,000 원
컴퓨터 매캐 통신에 대해서-컴퓨터 매개 커뮤니케이션
17 pages | 다운로드 2 | 구매평가
[공과기술] Fil11547 / 컴퓨터 매개 커뮤니케이션(Computer Mediated [새창] →미리보기
[공학,기술계열] 등록일: 2007/12/26 | 등록자: youw123 | 판매가격: 1,000 원
컴퓨터 매개 커뮤니케이션(Computer-Mediated Communic...
20 pages | 다운로드 0 | 구매평가
ANSYS 명령어 리스트 [새창] →미리보기
[공학,기술계열] 등록일: 2012/01/09 | 등록자: dalbong1849 | 판매가격: 2,000 원
본 레포트는 몇개의 예를 들어 풀어나가는 과정을 통해 앤시스를 이용하는데 필요한 명령어들이 어떻게 쓰이고 쓰는 방법, 명령어에 해당하는 메뉴 경로를 제시하였다. 구조해석에 쓰이는 기본적인 명령어들을...
9 pages | 다운로드 2 | 구매평가
Si wafer KOH etching-실리콘 웨이퍼 에칭 실험 계획, 결과 [새창] →미리보기
[공학,기술계열] 등록일: 2024/05/19 | 등록자: iscientist | 판매가격: 5,000 원
Si wafer KOH etching-실리콘 웨이퍼 에칭 실험 계획, 결과 주제에 대해 정성껏 조사하여 작성한 레포트로 a+ 받은 자료입니다. 많은 도움 되시길 기원 드립니다.
5 pages | 다운로드 0 | 구매평가
2023년 2학기 방송통신대 C++프로그래밍 출석수업대체과제물)연속적으로 발생되는 값에서 최종 n개의 값의 가중이동평균(weighted moving average)을 구하.. [새창] →미리보기
[공학,기술계열] 등록일: 2023/10/19 | 등록자: sunnyfanta | 판매가격: 17,000 원
- 과제물을 정확하고 신속하게 하실 수 있도록 프로그램 코드와 함께 쉽고 자세한 설명을 담아 작성하였습니다. - 교재를 중심으로 다양한 문헌을 참고하여 정성을 다해 작성하였습니다. - 과제물 지시사항을...
10 pages | 다운로드 1 | 구매평가
2022년 2학기 방송통신대 베이즈데이터분석 기말과제물)밀도함수를 따르는 랜덤 숫자를 합격-불합격 방법으로 생성하고자 한다 분할추출법을 이용하여 표본을 추출 스탠을 이용하.. [새창] →미리보기
[공학,기술계열] 등록일: 2022/11/14 | 등록자: sunnyfanta | 판매가격: 15,000 원
리포트 작성에 참고하세요~ (베이즈데이터분석.hwp, BDA_final.rmd, BDA_final.html 첨부) 문단 모양(왼쪽 여백 0, 오른쪽 여백 0, 줄간격 160%) 글자 모양(굴림체, 장평 100%, 크기 11 pt, 자간 0%) 행복하세요,...
4 pages | 다운로드 1 | 구매평가
17 대리자 및 델리게이트 이벤트 람다식 [새창] →미리보기
[공학,기술계열] 등록일: 2021/12/09 | 등록자: starred24 | 판매가격: 1,900 원
17 대리자 및 델리게이트 이벤트 람다식 에 대한 내용입니다. 프로그래밍 과제 및 실습을 통해 이해와 학습을 할 수 있습니다.
6 pages | 다운로드 0 | 구매평가
항공기유압장치계통의 각 부품명칭과 그 기능에 대하여 조사하시오 [새창] →미리보기
[공학,기술계열] 등록일: 2020/11/22 | 등록자: jaemin98729 | 판매가격: 1,000 원
1. 서론 2. 본론 1.1. 레저버 1.2. 유압펌프 1.3. 축압기 1.4. 여과기 1.5. 각종 제어 밸브 3. 결론 4. 참고문헌
7 pages | 다운로드 0 | 구매평가
재료역학 - Mohrs Circle에 관해 [새창] →미리보기
[공학,기술계열] 등록일: 2015/03/17 | 등록자: leewk2547 | 판매가격: 2,000 원
평면응력에 대한 Mohrs Circle 모어 원은 평면응력변환식의 도식적 표현이다. 모어원을 사용하면 임의면상의 수직응력과 전단응력을 손쉽게 도식적으로 표현할 수 있고, 평면응력 문제를 매우 용이하게 풀 수...
5 pages | 다운로드 0 | 구매평가
자료구조 - Linked List를 이용한 예약프로그램 [새창] →미리보기
[공학,기술계열] 등록일: 2015/02/15 | 등록자: leewk2547 | 판매가격: 2,000 원
버스예약 프로그램을 Linked_list로 구현한다. node값은 예약자 정보(아이디 비밀번호 전화번호 예약좌석의 수 예약좌석 등을 갖는다.) 예약좌석을 관리하는 List의 맴버 함수로는 모든 예약자 정보 출력, 현재...
13 pages | 다운로드 1 | 구매평가
유체공학 실험 - 레이놀즈 측정실험 [새창] →미리보기
[공학,기술계열] 등록일: 2015/02/15 | 등록자: leewk2547 | 판매가격: 2,000 원
실험목적 레이놀즈 실험 장치를 이용 유속을 조절하여 관로내의 유체유동이 층류, 천이 및 난류인지를 직접 관찰하고, 각각의 수온, 유량 및 유속을 측정하여 레이놀즈수를 계산하고, 흐름의 상태와 레이놀즈수의...
14 pages | 다운로드 1 | 구매평가
어셈블리 프로그래밍 - 어셈블리 언어(디버거로 레지스터 값의 변화를 확인, 문서로 작성) [새창] →미리보기
[공학,기술계열] 등록일: 2014/09/24 | 등록자: leewk2547 | 판매가격: 2,000 원
slit폭의 변화에 따라 회절무늬가 변화되는 모양을 이해하고, 레이저광의 파장을 측정, 계산한다. 우선 main 프로시저부터 프로그램이 실행하면 MySub 프로시저를 호출한다. 다른 프로시저를 호출하면 stack에...
8 pages | 다운로드 0 | 구매평가
[기술에 정복당한 오늘의 문화] 테크노폴리 - 닐 포스트먼 [새창] →미리보기
[공학,기술계열] 등록일: 2014/06/06 | 등록자: leewk2547 | 판매가격: 2,000 원
․ 어떤 질문이 어떤 이유로 제기되었는지 알기 전에는 여론 조사를 믿지 않는 사람. ․ 효율성을 인간관계의 최고 목표로 두지 않는 사람. ․ 숫자의 마력을 맹신하지 않고, 계산으로 판단을 대신할...
5 pages | 다운로드 0 | 구매평가
복잡한 회로 설계 - [VHDL] 4비트 가산기 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2014/04/16 | 등록자: leewk2547 | 판매가격: 1,500 원
4비트 가산기는 4비트인 2개의 입력신호를 더하는 역할을 한다. 예를 들어 1011 + 1100 = 10111이다. 기본적인 4비트 병렬 가산기는 4개의 전가산기로 구성된다. 두 개의 입력 신호는 , 로 주어지며, 각 가산기의...
6 pages | 다운로드 3 | 구매평가
열유체 - 온도압력(kc)[Bourdon gauge와 압력 센서를 이용해 압력을 측정하고 각 센서의 특성과 차이점을 측정] [새창] →미리보기
[공학,기술계열] 등록일: 2014/01/19 | 등록자: leewk2547 | 판매가격: 2,000 원
PT100 이것은 백금으로 된 가는 선을 용수철처럼 만들어 세라믹과 같이 전기를 통하지 않는 물체에 감은 것이다. 이 온도계는 넓은 온도 범위에 걸쳐 정밀한 온도 값을 주므로...
7 pages | 다운로드 0 | 구매평가
rindex = [1]
12345

 

하계계절시험자료바로가기 씽유 캠퍼스플러스 위로 닫기