로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너
세일즈코드
레포트 > 정확도순 최신등록순 다운높은순 분량많은순 낮은가격순 높은가격순
[A+ 4.5 예비레포트] 기초전자공학실험 - 직렬 및 병렬 다이오드 회로 [새창] →미리보기
[공학,기술계열] 등록일: 2021/04/21 | 등록자: tjr6155 | 판매가격: 2,000 원
[A+ 4.5 예비레포트] 기초전자공학실험 - 직렬 및 병렬 다이오드 회로 [A+ 4.5 예비레포트] 기초전자공학실험 - 직렬 및 병렬 다이오드 회로
3 pages | 다운로드 0 | 구매평가
디지털공학실험 - 카운터 예비보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2016/09/21 | 등록자: lbj7844 | 판매가격: 500 원
응용논리회로 : 카운터 (counter) ※ 실험 목적 - 조합논리회로와 순서논리회로에 대한 대표적인 응용회로인 카운터에 관해서 그 동작 특성을 실현한다. 구체적으로, 카운트-업 (count up), 카운트-다운 (count...
7 pages | 다운로드 1 | 구매평가
디지털공학실험 - 기본논리게이트 결과보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2016/09/21 | 등록자: lbj7844 | 판매가격: 500 원
실험 결과 (1) 2 입력 AND, OR 게이트 * 표 1 논리게이트 진리표 (2) NAND 게이트의 응용 * 표 2 NAND 게이트의 응용
4 pages | 다운로드 0 | 구매평가
기초회로실험(예비+결과) - 부울대수의 정리 [새창] →미리보기
[자연과학계열] 등록일: 2015/02/15 | 등록자: leewk2547 | 판매가격: 2,000 원
실험 목적 (1) 부울대수(Boolen algebra)의 기본적인 공리와 정리를 이해하고 증명한다. (2) 부울대수식을 이용한 논리회로의 간략화 및 논리식 표현을 익힌다. (3) 다양한 논리회로를 부울대수식으로 표현하는...
10 pages | 다운로드 1 | 구매평가
[실험설계] 7세그먼트를 이용한 점수카운터 설계 제안서 [새창] →미리보기
[공학,기술계열] 등록일: 2014/11/10 | 등록자: kingport | 판매가격: 1,500 원
설계작품 A+ 받은 실험조의 제안서 입니다. 설계목적 설계목표 설계세부계획 설계기능 설계이론 사용부품 회로도 결론 순으로 되어있습니다.
5 pages | 다운로드 0 | 구매평가
[실험] 논리 프로브 구성 결과보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2014/11/10 | 등록자: kingport | 판매가격: 1,200 원
A+ 받은 실험조의 결과보고서 입니다. 서론 실험목적 사용부품 실험방법 실험결과표 결과및고찰 순으로 되어있습니다. 페이 여백을 최대한 줄인 상태라 총 4페이지로 되어있지만 내용이 훨씬 많습니다. 사진과...
4 pages | 다운로드 0 | 구매평가
[실험] 논리 프로브 구성 예비보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2014/11/10 | 등록자: kingport | 판매가격: 600 원
실험목표 사용부품 관련이론 실험내용 순으로 되어 있습니다. 내용은 간략히 되어있으며 많은 도움이 되었으면 좋겠습니다.
3 pages | 다운로드 0 | 구매평가
정보통신 설계 - 집적 회로(Very Large Scale Integrated Circuit; VLSI)의 설계 과정 [새창] →미리보기
[공학,기술계열] 등록일: 2014/09/24 | 등록자: leewk2547 | 판매가격: 2,000 원
VLSI 설계 전반부 : Gate Level 설계 후반부 : Layout 데이터 생성 최근의 설계 전반부 : 동작적 설계(Behavioral Design) ==> Gate Level 설계 후반부 : Layout 데이터 생성
44 pages | 다운로드 0 | 구매평가
[전기전자] BJT IC 및 동작특성 기술 [새창] →미리보기
[자연과학계열] 등록일: 2014/04/21 | 등록자: leewk2547 | 판매가격: 2,000 원
거의 사용치 않음 ▷ 3- Input NOR 동작원리 입력 A,B,C 단자는 출력에 대하여 각각 병렬로 연결되어 있으므로 OR게이트로 동작한다. 트랜스터의 출력 Y는 저항에 의하여 전압강하가 발생하여 NOT게이트의 기능을...
4 pages | 다운로드 1 | 구매평가
자동화응용실험 - PLC 리포트 [새창] →미리보기
[공학,기술계열] 등록일: 2014/04/16 | 등록자: leewk2547 | 판매가격: 2,000 원
존재하고 금도 많이 개발/이용되고 있다. 공장뿐만 아니라 일반 가정 및 빌딩등의 건물에도 능동화된 많은 전기시설들이 존재하고 이를 좀 더 간편하고 효율적으로 제어하고자 하는 노력이 계속되어왔다. 과거의...
9 pages | 다운로드 0 | 구매평가
디지털 실험 보고서 - 스위칭 회로 (pspice자료까 첨부) [새창] →미리보기
[공학,기술계열] 등록일: 2014/04/16 | 등록자: leewk2547 | 판매가격: 2,000 원
OR 논리의 진리표를 결정한다. -Switch(릴레이)의 직렬, 병렬 연결로 AND, OR 논리를 구성한다 -Switching의 개념을 공부한다. § 실험부품 및 사용기기 SPST 스위치 DPST 스위치 릴레이(5V 동작) LED(red 또는...
9 pages | 다운로드 1 | 구매평가
[전자공학과] VHDL[VHSIC HardwareDescription Language]에 대하여 [새창] →미리보기
[공학,기술계열] 등록일: 2013/07/30 | 등록자: leewk2547 | 판매가격: 2,000 원
1. VHDL의 뜻 2. VHDL이 만들어진 배경 3. VHDL의 장점 4. VHDL의 단점 5. 하드웨어 디자인과 프로그래밍 언어적 디자인 6. 제품제작에 VHDL이 쓰이는 과정 7. VDHL의 규칙 8. VDHL용어의 정의와 표현 9. VHDL...
10 pages | 다운로드 0 | 구매평가
전기전자공학 - 기본논리 게이트 [새창] →미리보기
[공학,기술계열] 등록일: 2013/05/09 | 등록자: leewk2547 | 판매가격: 2,000 원
논리게이트인 NOT, AND, OR, NAND, NOR, XOR 게이트의 동작 특성 및 응용에 대하여 살펴본다. 이 론 디지털 시스템은 “1”과 “0” 두 가 상태만을 가지는 소자들로 구성되며, 이들의 논리연산에는 부울 대수가...
10 pages | 다운로드 1 | 구매평가
기계자동차공학 실험 - AND, OR, NOT 게이트를 통한 논리회로 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2013/05/08 | 등록자: leewk2547 | 판매가격: 2,000 원
NOT 게이트의 기호와 동작특성을 이해고, 실험을 통해 AND, OR, NOT 게이트의 진리표(Truth Table)를 이해하며, 논리소자들의 작동법을 익힌다. 그리고 슬라이드 스위치 2개로 배운 이론으로 7-segment를 0 부터 3...
8 pages | 다운로드 0 | 구매평가
기계자동차공학 실험 - AND, OR, NOT 게이트를 통한 논리회로 설계, 7-세이그먼트 켜기 [새창] →미리보기
[공학,기술계열] 등록일: 2013/05/08 | 등록자: leewk2547 | 판매가격: 2,000 원
논리회로 설계  - 7-segment 켜기 ■ 목 표 1.AND,OR,NOT 게이트의 기호와 동작특성을 이해한다. 2.실험을 통해 AND,OR,NOT 게이트의 진리표(Truth Table)를 이해한다. 3.논리소자들의 작동법을 익힌다....
8 pages | 다운로드 0 | 구매평가
rindex = [1]

 

하계계절시험자료바로가기 씽유 캠퍼스플러스 위로 닫기