로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너
세일즈코드
레포트 > 정확도순 최신등록순 다운높은순 분량많은순 낮은가격순 높은가격순
[전기전자회로실험] 디지털 논리 관련 설계자료 [새창] →미리보기
[공학,기술계열] 등록일: 2012/04/06 | 등록자: leewk2547 | 판매가격: 3,000 원
몫과 나머지를 표현한다. ② NAND게이트와 INVERTER, 7447디코더를 이용하여 최대한 간단한 회로를 구성한다. ③ PSPICE를 이용해 출력을 예상해 본다. ④ 구현한 회로가 작동이 되 않는다면 그 이유를...
20 pages | 다운로드 0 | 구매평가
[디지털공학] 디지털공학실험 8장 논리회로의간소화(결과) [새창] →미리보기
[공학,기술계열] 등록일: 2010/04/06 | 등록자: lcs861210 | 판매가격: 1,500 원
디지털공학실험 8장 논리회로의간소화(결과)보고서 입니다.
10 pages | 다운로드 6 | 구매평가
[디지털공학] 디지털공학실험 8장 논리회로의간소화(예비) [새창] →미리보기
[공학,기술계열] 등록일: 2010/04/06 | 등록자: lcs861210 | 판매가격: 1,500 원
디지털공학실험 8장 논리회로의간소화(예비)보고서입니다.
15 pages | 다운로드 2 | 구매평가
디지털시계콤보(논리회로) [새창] →미리보기
[공학,기술계열] 등록일: 2020/04/19 | 등록자: nav421383 | 판매가격: 2,000 원
콤보2(combo2)로 구현한 디지털 시계 소스코드입니다. 1. 시계 시분초 단위 구현 2. 날짜, 오전오후, 요일 기능 탑재 (이 코드로 학점 a0 맞았습니다!)
13 pages | 다운로드 0 | 구매평가
디지털공학개론 논리회로와 부울대수, 카르노맵의 기본개념을 정리하고 각각의 상관관계를 체계적으로 설명 [새창] →미리보기
[공학,기술계열] 등록일: 2019/05/10 | 등록자: ycy125 | 판매가격: 500 원
PC 활용 최근에 일어난 정보보안에 관련된 범죄의 실례를 들어 정보 보안의 정의와 필요성을 서술하시오.!!
5 pages | 다운로드 12 | 구매평가
[디지털논리회로] Smart Traffic Light Controller 설계(STLC 설계) [새창] →미리보기
[공학,기술계열] 등록일: 2015/05/12 | 등록자: leewk2547 | 판매가격: 2,000 원
- Specification of STLC - I/O signal description - Block diagram of system - State diagram Verilog HDL source code Test plan & Result Simulation results in waveform Conclusions & Comments
13 pages | 다운로드 0 | 구매평가
[디지털논리회로] 메모리의 종류와 동작 [새창] →미리보기
[공학,기술계열] 등록일: 2012/06/13 | 등록자: leewk2547 | 판매가격: 3,000 원
1. 메모리의 정의 2. 메모리의 동작 ● Address bus와 data bus ● 메모리의 주소 3. 메모리의 성능 표시 ● Access Time (tAC) ● Cycle Time (tCLK) 4. Memory Package 형태상 구분 ● Simm과 DIMM 1)SIMM...
28 pages | 다운로드 0 | 구매평가
[디지털논리회로] verilog 시계제작파일 [새창] →미리보기
[공학,기술계열] 등록일: 2012/04/06 | 등록자: leewk2547 | 판매가격: 2,000 원
스탑워치)제작모듈파일입니다. 시뮬레이션캡쳐화면까 포함된 보고서입니다. 기본시계제작(0.1초~1분단위, 스탑워치)제작모듈파일입니다. 시뮬레이션캡쳐화면까 포함된 보고서입니다.
15 pages | 다운로드 6 | 구매평가
[디지털논리회로] TTL[Transistor Transistor Logic]에 대해서 [새창] →미리보기
[공학,기술계열] 등록일: 2013/04/04 | 등록자: leewk2547 | 판매가격: 2,000 원
TTL(Transistor Transistor Logic) TTL의 정의 TTL의 특징 클럭, 게이트 입력 신호 형태 TTL의 외형(1) TTL의 외형(2) TTL의 외형(3)
21 pages | 다운로드 0 | 구매평가
[디지털논리회로] 4비트 덧셈뺄셈기 회로 구현 [새창] →미리보기
[공학,기술계열] 등록일: 2013/04/04 | 등록자: leewk2547 | 판매가격: 2,000 원
기초부터 응용까 Verilog HDL - 다음은 4비트 덧셈 뺄셈기의 논리 회로 이다. 1. 위의 논리 회로를 Gate level modeling 방법을 사용하여 Verilog 코드를 코딩하시오. 2. 위의 논리 회로를 Data flow modeling...
4 pages | 다운로드 1 | 구매평가
verilog 시계[디지털 논리 회로] [새창] →미리보기
[공학,기술계열] 등록일: 2012/05/17 | 등록자: leewk2547 | 판매가격: 2,200 원
모듈 및 시뮬레이션 1. 기본 시계 제작 (0.1초~1분단위, 스탑워치) 2. hour 단위 구현을 위한 testbench & module -시뮬레이션 하는데 시간이 걸려 분단위 이상은 구현이 힘들어 별도의 module을 사용하여...
15 pages | 다운로드 3 | 구매평가
[컴퓨터구조] 컴퓨터시스템구조 Computer System Architecture (제3판, M. Morris Mano) 1장 연습문제 풀이 [새창] →미리보기
[공학,기술계열] 등록일: 2009/10/23 | 등록자: inter114 | 판매가격: 1,100 원
컴퓨터시스템구조 Computer System Architecture (제3판, M. Morris Mano)의 제 1장 디지털 논리회로 연습문제 풀이입니다 (1~21번)
11 pages | 다운로드 7 | 구매평가
[전자공학과] 디지털시계 [새창] →미리보기
[공학,기술계열] 등록일: 2007/10/25 | 등록자: qordhksgus | 판매가격: 1,000 원
디지털시계를 구성후 오류수정과정 적어놓은 보고서
14 pages | 다운로드 2 | 구매평가
전자공학 실험 - 논리 게이트의 특성 및 연산회로 [새창] →미리보기
[공학,기술계열] 등록일: 2014/09/24 | 등록자: leewk2547 | 판매가격: 2,000 원
실험 목적 - 논리게이트는 디지털 회로를 구성하는 기본단위이다. 논리게이트(TTL74LS04)입출력의 전기적 특성을 실험을 통해 알아보고, 논리식을 조합논리회로로 구현하고 실험을 통해 진리표를 얻어본다.
14 pages | 다운로드 0 | 구매평가
[디지털공학실험] 기본논리게이트 [새창] →미리보기
[공학,기술계열] 등록일: 2013/11/22 | 등록자: leewk2547 | 판매가격: 2,000 원
1. 목 적 기본논리게이트인 NOT, AND, OR, NAND, NOR, XOR 게이트의 동작 특성 및 응용에 대하여 살펴본다. 2. 이 론 디지털 시스템은 “1”과 “0” 두 가 상태만을 가지는 소자들로 구성되며, 이들의...
7 pages | 다운로드 0 | 구매평가
rindex = [1]

 

하계계절시험자료바로가기 씽유 캠퍼스플러스 위로 닫기