로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너
세일즈코드
레포트 > 공학,기술계열 정확도순 최신등록순 다운높은순 분량많은순 낮은가격순 높은가격순
디지털 논리회로 설계 및 실습 - 논리 프로브 구성 결과 보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2013/12/27 | 등록자: leewk2547 | 판매가격: 2,000 원
목표 □ 7404 인버터를 사용한 간단한 논리 프로브(logic probe) 구성. □ 구성된 논리 프로브를 사용하여 회로 테스트 □디지털 멀티미터와 오실로스코프를 사용하여 논리 레벨 측정과 유효 입력 논리 레벨비교
4 pages | 다운로드 2 | 구매평가
디지털논리회로 - 고속 동작 곱셈기 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2014/06/05 | 등록자: leewk2547 | 판매가격: 2,000 원
곱셈기의 설계를 통해 곱셈 과정에 있어서 shift and add를 이해하고 곱셈기 구현을 위한 여러 가 기법들을 익히며 sequential circuit의 설계 흐름을 숙지한다. 또한 VHDL을 이용한 곱셈기 설계를 통해 VHDL을...
7 pages | 다운로드 0 | 구매평가
[전기전자회로실험] 디지털 논리 관련 설계자료 [새창] →미리보기
[공학,기술계열] 등록일: 2012/04/06 | 등록자: leewk2547 | 판매가격: 3,000 원
몫과 나머지를 표현한다. ② NAND게이트와 INVERTER, 7447디코더를 이용하여 최대한 간단한 회로를 구성한다. ③ PSPICE를 이용해 출력을 예상해 본다. ④ 구현한 회로가 작동이 되 않는다면 그 이유를...
20 pages | 다운로드 0 | 구매평가
[디지털논리회로] Smart Traffic Light Controller 설계(STLC 설계) [새창] →미리보기
[공학,기술계열] 등록일: 2015/05/12 | 등록자: leewk2547 | 판매가격: 2,000 원
- Specification of STLC - I/O signal description - Block diagram of system - State diagram Verilog HDL source code Test plan & Result Simulation results in waveform Conclusions & Comments
13 pages | 다운로드 0 | 구매평가
[디지털 논리 회로] 디지털 공중전화 [새창] →미리보기
[공학,기술계열] 등록일: 2013/09/06 | 등록자: leewk2547 | 판매가격: 2,000 원
1. Project 목적 ⧠ 디지털 논리회로 설계 및 실습 과목의 term project 구현 ⧠ 칩의 기능과 논리구조의 이해 ⧠ 금까 학습한 내용들을 복합하여 하나의 작품에 응용 및 구현
16 pages | 다운로드 3 | 구매평가
[디지털 회로 설계] 4-Bit D Flip Flop 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2013/07/30 | 등록자: leewk2547 | 판매가격: 2,000 원
설계 2. 개요 : 1) 목적 : 1 bit flip flop을 통해 4 bit flip flop을 VHDL과 logic gate로 설계한다. 이 과정에서 VHDL의 process의 사용법을 익히고, logic gate의 구현과 process로의 구현의 차이점을 비교해...
8 pages | 다운로드 0 | 구매평가
논리회로설계 진수변환기 [새창] →미리보기
[공학,기술계열] 등록일: 2012/09/21 | 등록자: yellow555 | 판매가격: 2,000 원
소개글 논리회로설계 진수변환기.hwp 목차 1. 설 계 목 표 2. 회 로 도 3. 설계결과 4.TROUBLE SHOOTING 5.사용부품& 내역 6.스케줄 참고 자료 ( 파일내에 기재된 참고자료 목록입니다. ) 디지털 논리회로...
9 pages | 다운로드 3 | 구매평가
논리회로 자판기설계 [새창] →미리보기
[공학,기술계열] 등록일: 2012/08/30 | 등록자: yellow555 | 판매가격: 1,500 원
소개글 논리회로 자판기설계.hwp 목차 1. 설계목표 2. 회로도 3. 설계결과 4. TROUBLE SHOOTING 참고 자료 ( 파일내에 기재된 참고자료 목록입니다. ) 알기 쉬운 디지털 논리회로 설계 (이론 예제와 실습)...
5 pages | 다운로드 6 | 구매평가
[전자/제어/디지털/쿼터스] 쿼터스를 이용한 디지털 시계 소스(블록파일,시뮬파일 통째압축)/FPGA모듈/텀프로젝트 [새창]
[공학,기술계열] 등록일: 2009/07/06 | 등록자: legeniter | 판매가격: 3,000 원
기말과제로한 디지털시계 만들기입니다. 100퍼센트 실행 보장합니다. 피와 땀이 녹아있는 블록다이어그램 파일을 통째 압축했습니다. 그대로 컴파일 돌려서 인스톨하시면 바로 가능합니다. 알람, 초시계, 달력,...
1 pages | 다운로드 24 | 구매평가
슈미트 트리거 회로의 동작특성 4 / [숨은 설명 시작] !DOCTYPE HT [새창] →미리보기
[공학,기술계열] 등록일: 2008/10/31 | 등록자: skadkfl | 판매가격: 1,000 원
[숨은설명 시작] !DOCTYPE HTML PUBLIC `- W3C D...
21 pages | 다운로드 0 | 구매평가
서울시립대 전자전기컴퓨터공학부 편입학업계획서) 서울시립대학교 전자전기컴퓨터공학부 편입학 합격 원동기 학업계획서 관심 학문 분야 전적 대학교에서 수학한 전공분.. [새창] →미리보기
[공학,기술계열] 등록일: 2023/12/05 | 등록자: asdfghjkl | 판매가격: 5,000 원
원 동기 및 관심 학문 분야, 전적 대학교에서 수학한 전공분야와 원 학부‧과 전공과의 연계성 및 발전 방안을 잘 작성했습니다. ★ 향후 학습 목표 및 진로계획, 기타 특기사항을 구체적으로 기술했습니다. ★...
4 pages | 다운로드 1 | 구매평가
[실험설계] 7세그먼트를 이용한 점수카운터 설계 제안서 [새창] →미리보기
[공학,기술계열] 등록일: 2014/11/10 | 등록자: kingport | 판매가격: 1,500 원
설계작품 A+ 받은 실험조의 제안서 입니다. 설계목적 설계목표 설계세부계획 설계기능 설계이론 사용부품 회로도 결론 순으로 되어있습니다.
5 pages | 다운로드 0 | 구매평가
[실험] 논리 프로브 구성 예비보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2014/11/10 | 등록자: kingport | 판매가격: 600 원
실험목표 사용부품 관련이론 실험내용 순으로 되어 있습니다. 내용은 간략히 되어있으며 많은 도움이 되었으면 좋겠습니다.
3 pages | 다운로드 0 | 구매평가
[디지털공학실험] 기본논리게이트 [새창] →미리보기
[공학,기술계열] 등록일: 2013/11/22 | 등록자: leewk2547 | 판매가격: 2,000 원
1. 목 적 기본논리게이트인 NOT, AND, OR, NAND, NOR, XOR 게이트의 동작 특성 및 응용에 대하여 살펴본다. 2. 이 론 디지털 시스템은 “1”과 “0” 두 가 상태만을 가지는 소자들로 구성되며, 이들의...
7 pages | 다운로드 0 | 구매평가
[전자공학과] VHDL[VHSIC HardwareDescription Language]에 대하여 [새창] →미리보기
[공학,기술계열] 등록일: 2013/07/30 | 등록자: leewk2547 | 판매가격: 2,000 원
1. VHDL의 뜻 2. VHDL이 만들어진 배경 3. VHDL의 장점 4. VHDL의 단점 5. 하드웨어 디자인과 프로그래밍 언어적 디자인 6. 제품제작에 VHDL이 쓰이는 과정 7. VDHL의 규칙 8. VDHL용어의 정의와 표현 9. VHDL...
10 pages | 다운로드 0 | 구매평가
rindex = [1]
12

 

중간시험과제물자료바로가기 씽유 캠퍼스플러스 위로 닫기