로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너
세일즈코드
레포트 > 정확도순 최신등록순 다운높은순 분량많은순 낮은가격순 높은가격순
[A] 디지털 시스템의 설계단계는 어떻게 구분되며, 각 단계에서 수행하는 _pcr [새창] →미리보기
[인문,어학계열] 등록일: 2021/08/19 | 등록자: regend | 판매가격: 4,000 원
신빙성있는 논문과 단행본 및 학회 등을 활용해 작성하였고 - 출처가 불명확한 사이트의 카페글, 블로그 등의 내용은 사용하 않았습니다. - 공개된 자료 이기때문에 그대로 사용하는것은 권장하 않습니다....
7 pages | 다운로드 1 | 구매평가
디지털시스템설계 - ARM7 Thumb instruction을 수행하는 microprocessor VHDL 레포트 [새창] →미리보기
[공학,기술계열] 등록일: 2019/05/24 | 등록자: dkalshek | 판매가격: 10,000 원
수행하는 microprocessor을 VHDL로 설계한다. 이에 대한 코드와 레포트 모두 있습니다. vhdl 실행 하시면 그냥 실행됩니다. 아주대학교 양회석 교수님 19-1학기 과제2 입니다. 매우 어려웠던 과제이며 학생들 대...
13 pages | 다운로드 0 | 구매평가
디지털논리회로 - 고속 동작 곱셈기 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2014/06/05 | 등록자: leewk2547 | 판매가격: 2,000 원
곱셈기의 설계를 통해 곱셈 과정에 있어서 shift and add를 이해하고 곱셈기 구현을 위한 여러 가 기법들을 익히며 sequential circuit의 설계 흐름을 숙지한다. 또한 VHDL을 이용한 곱셈기 설계를 통해 VHDL을...
7 pages | 다운로드 0 | 구매평가
디지털시스템실험 - 4Bit Adder,Subtractor를 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2014/04/16 | 등록자: leewk2547 | 판매가격: 1,000 원
: fulladd 라는 모듈을 선언해주고 변수를 정해 준다. line 2 : input은 in1, in2, carryin으로 한다. line 3 : output은 sum, carryout으로 한다. line 4 : 연접 연산을 우변에 사용하였으며, 1bit 자료 세...
3 pages | 다운로드 0 | 구매평가
디지털 공학 - 동기 모듈로-8진 2진 카운터를 설계하시오 [새창] →미리보기
[공학,기술계열] 등록일: 2013/04/04 | 등록자: leewk2547 | 판매가격: 2,000 원
REPORT 동기 모듈로-8진 2진 카운터를 설계하시오. D F/F을 사용한 모듈로-8 카운터 J-K F/F을 사용한 모듈로-8 카운터 T F/F을 사용한 모듈로-8 카운터 S-R F/F을 사용한 모듈로-8 카운터
10 pages | 다운로드 0 | 구매평가
디지털 회로 - 자판기 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2012/04/23 | 등록자: leewk2547 | 판매가격: 1,800 원
과제 개요 자판기에 100원과 500원 동전을 놓고 1500원의 물건을 출력하고 거스름돈을 불해야될 경우에 이를 불하는 자판기 시스템 설계, 가상제작, 시험 및 평가
9 pages | 다운로드 6 | 구매평가
인천대학교 디지털통신설계 BPSK 신호변복조 [새창] →미리보기
[공학,기술계열] 등록일: 2014/05/10 | 등록자: pureask | 판매가격: 3,000 원
전자공학과 양운근 교수님 디지털 통신 설계 과목 BPSK 신호변복조 설계 프로젝트 결과 보고서입니다. 시뮬레이션 설계, 회로 설계, 결과분석 그리고 결과분석및 고찰의 순서로 이루어져 있습니다. 자료에 대한...
48 pages | 다운로드 1 | 구매평가
[전기전자회로실험] 디지털 논리 관련 설계자료 [새창] →미리보기
[공학,기술계열] 등록일: 2012/04/06 | 등록자: leewk2547 | 판매가격: 3,000 원
NAND게이트와 INVERTER, 7447디코더를 이용하여 최대한 간단한 회로를 구성한다. ③ PSPICE를 이용해 출력을 예상해 본다. ④ 구현한 회로가 작동이 되 않는다면 그 이유를 알아보고 문제를 해결하여...
20 pages | 다운로드 0 | 구매평가
[디지털 시스템설계] 용어조사 [새창] →미리보기
[교육계열] 등록일: 2012/04/28 | 등록자: leewk2547 | 판매가격: 1,800 원
동작하는 검증할 수도 있다. HDL의 문법과 의미(semantics)는 소프트웨어 프로그래밍 언어와 달리 하드웨어의 주요한 특징인 시간과 동시성(concurrency)를 표현할 수 있는 notation들이 명시적으로 존재한다
10 pages | 다운로드 0 | 구매평가
[디지털 회로 설계] 4-Bit D Flip Flop 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2013/07/30 | 등록자: leewk2547 | 판매가격: 2,000 원
설계 2. 개요 : 1) 목적 : 1 bit flip flop을 통해 4 bit flip flop을 VHDL과 logic gate로 설계한다. 이 과정에서 VHDL의 process의 사용법을 익히고, logic gate의 구현과 process로의 구현의 차이점을 비교해...
8 pages | 다운로드 0 | 구매평가
[디지털 논리 설계 이론] 종이 헬리콥터 제작 (인천대학교 전자공학과) [새창] →미리보기
[공학,기술계열] 등록일: 2014/05/01 | 등록자: pureask | 판매가격: 2,000 원
: 디지털 논리 설계 이론 프로젝트명 : 종이 헬리콥터 실행계획서 담당교수 : 인천대학교 김교선 교수님 디지털 논리 설계 이론 수업시간에 학습한 6 시그마 기법을 이용하여 종이 헬리콥터 실행계획서를 제작
54 pages | 다운로드 2 | 구매평가
[디지털설계 ] Unigraphics 기반사 출금형 설계 전공 CAD 시스템의 개발 [새창] →미리보기
[공학,기술계열] 등록일: 2013/06/18 | 등록자: leewk2547 | 판매가격: 2,000 원
사출 성형 공정은 분말 또는 알갱이 상태의 고분자 재료에 열을 가해 웅융시킨 후 압력을 가하여 금형 내부의 캐비티를 충전한 후 냉각 시킴으로써 제품을 만드는 고분자 재료의 대표적인 가공 방법이다. 이 방법은...
4 pages | 다운로드 0 | 구매평가
[디지털 신호처리 및 필터] DSP 프로젝트 - 저역 통과 필터 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2013/07/30 | 등록자: leewk2547 | 판매가격: 2,000 원
설계목적 2. 설계기간 3. 설계이론 4. 설계과정 5. 설계 결과 6. 결론 및 고찰 * 참고문헌
21 pages | 다운로드 2 | 구매평가
[디지털공학 설계] 7-세그먼트[7-Segment Indicator] [새창] →미리보기
[공학,기술계열] 등록일: 2013/04/04 | 등록자: leewk2547 | 판매가격: 2,000 원
디지털공학 설계과제 7-Segment Indicator 1. 분석 2. 설계 1) 진리표 작성 3) NAND-NAND 회로의 표현 4) NOR-NOR 회로의 구현 3. 검증
8 pages | 다운로드 3 | 구매평가
[디지털 회로설계] VHDL을 통한 Gray Code 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2013/07/30 | 등록자: leewk2547 | 판매가격: 2,000 원
1. 제목 : VHDL을 통한 Gray Code 설계 2. 개요 : 1) 목적 : Karnaugh map을 이용하여 Gray code를 설계하고 검증하여, Quartus2와 Karnaugh map을 통한 minimization에 대한 이해도를 높인다.
6 pages | 다운로드 0 | 구매평가
rindex = [1]

 

하계계절시험자료바로가기 씽유 캠퍼스플러스 위로 닫기