로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너
세일즈코드
레포트 > 정확도순 최신등록순 다운높은순 분량많은순 낮은가격순 높은가격순
[논리회로설계실험]1bit 비교기 와 4bit 비교기 [새창] →미리보기
[공학,기술계열] 등록일: 2019/07/02 | 등록자: dozagis | 판매가격: 1,000 원
논리회로 설계실험 보고서로써 1bit와 4bit비교기 설계입니다. 레포트작성하시는데 있어서 참고자료로 활용하세요. 모두들 열공하셔서 A+ 받으시기를 바랍니다.
5 pages | 다운로드 0 | 구매평가
기계자동차공학 실험 - AND, OR, NOT 게이트를 통한 논리회로 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2013/05/08 | 등록자: leewk2547 | 판매가격: 2,000 원
NOT 게이트의 기호와 동작특성을 이해고, 실험을 통해 AND, OR, NOT 게이트의 진리표(Truth Table)를 이해하며, 논리소자들의 작동법을 익힌다. 그리고 슬라이드 스위치 2개로 배운 이론으로 7-segment를 0 부터 3...
8 pages | 다운로드 0 | 구매평가
논리회로 실험 및 설계 4주차 예비보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2023/02/22 | 등록자: nav221225094221 | 판매가격: 1,900 원
논리회로 실험 및 설계 4주차 예비보고서에 관한 자료입니다. 자세한 내용은 첨부자료를 확인해주세요. 감사합니다.
5 pages | 다운로드 0 | 구매평가
디지털 논리회로 111 detection(감지) [새창] →미리보기
[공학,기술계열] 등록일: 2017/05/30 | 등록자: secretnote89 | 판매가격: 1,000 원
자일링스를 이용한 111detection 이다. 1이 3개 연속 들어온 경우 감지하여 output으로 1을 출력하며 연속된 111 이 3번 나온 후 더이상 출력 하지 않는다.
9 pages | 다운로드 0 | 구매평가
[논리회로실험] 멀티플렉서 & 디멀티플렉서 [새창] →미리보기
[공학,기술계열] 등록일: 2013/11/29 | 등록자: leewk2547 | 판매가격: 2,000 원
실험의 의의  Bread Board를 이용한 회로를 작성하는 것 과 기계를 만지는데 좀 더 익숙해질 수 있었다.  Logic gate 의 멀티플렉서와 디멀티플렉서를 구성할 수 있다.  실험의 결과들로...
5 pages | 다운로드 0 | 구매평가
[A] 2개의 D플립플롭으로 구성된 순서논리회로의 입력방정식이 다음과 같을_pcr [새창] →미리보기
[인문,어학계열] 등록일: 2021/08/19 | 등록자: regend | 판매가격: 4,000 원
안녕하세요. 해당 작성문서는 주제에 맞게 작성된 완성본입니다. - 신빙성있는 논문과 단행본 및 학회지 등을 활용해 작성하였고 - 출처가 불명확한 사이트의 카페글, 블로그 등의 내용은 사용하지 않았습니다. -...
5 pages | 다운로드 0 | 구매평가
[A] 2개의 D플립플롭으로 구성된 순서논리회로의 입력방정식이 다음과 같을 (1)_pcr [새창] →미리보기
[인문,어학계열] 등록일: 2021/08/19 | 등록자: regend | 판매가격: 4,000 원
안녕하세요. 해당 작성문서는 주제에 맞게 작성된 완성본입니다. - 신빙성있는 논문과 단행본 및 학회지 등을 활용해 작성하였고 - 출처가 불명확한 사이트의 카페글, 블로그 등의 내용은 사용하지 않았습니다. -...
5 pages | 다운로드 0 | 구매평가
논리게이트 (기본게이트,스위칭,트랜지스터 회로도) [새창]
[기타] 등록일: 2007/05/50 | 등록자: CPIA_powers | 판매가격: 1,900 원
논리설계 논리게이트OR게이트 AND게이트NOT게이트 / ()
4 pages | 다운로드 5 | 구매평가
[전자전기] 논리회로 실험 - RAM VHDL을 이용한 실험 결과 보고서 / 메모리 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 500 원
메모리 설계 ※ 실험내용 § RAM(Random Access Memor...
3 pages | 다운로드 1 | 구매평가
[전자전기] 논리회로실험 - 논리회로실험 - Inverting gate 설계 / 1. The inverting [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 500 원
1. The inverting circuit with the T netw...
3 pages | 다운로드 0 | 구매평가
[전자전기] 논리회로실험 - full half adder VHDL을 이용한 실험 결과 보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 1,000 원
Half Adder, Full Adder 설계 1. 실험내용 1) 반가...
5 pages | 다운로드 1 | 구매평가
[전자전기] 논리회로실험 - FSM 자판기설계 VHDL을 이용한 실험 결과 보고서 / FPGA [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 1,000 원
FPGA기반 보드에서의 LED 동작 설계 ※ LED 동작 1. 블록다이...
5 pages | 다운로드 9 | 구매평가
[전자전기] 논리회로실험 - d-ff & register VHDL을 이용한 실험 결과 보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 500 원
D-FF, 8bit Register 설계 1. 실험내용 1) D-FF(...
4 pages | 다운로드 1 | 구매평가
[전자전기] 논리회로실험 - decoder encoder with en VHDL을 이용한 실험 결과 보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 500 원
3x8 Decoder , 8x3 Encoder 설계 1. 실험내용 1)...
4 pages | 다운로드 2 | 구매평가
[전자전기] 논리회로실험 - 7 SEG VHDL을 이용한 실험 결과 보고서 / 7 Segmen [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 500 원
7-Segment 제어기 설계 1. 실험내용 구분seg_selMSB -...
3 pages | 다운로드 1 | 구매평가
rindex = [1]

 

중간시험과제물자료바로가기 씽유 캠퍼스플러스 위로 닫기