로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너
세일즈코드
레포트 > 정확도순 최신등록순 다운높은순 분량많은순 낮은가격순 높은가격순
논리회로설계 - 자판기 설계에 대해 [새창] →미리보기
[공학,기술계열] 등록일: 2013/07/15 | 등록자: leewk2547 | 판매가격: 2,000 원
목적은 기본적인 순차논리회로를 해석하고 직접 설계하는 능력을 키우고 이를 바탕으로 간단하고 실생활에 이용되는 순차 논리회로를 디자인하는 것이다. 순차논리회로를 디자인 하기위해선 문제를 정의하고 상태도...
6 pages | 다운로드 3 | 구매평가
논리회로설계 - 영상 스크램블러 [새창] →미리보기
[공학,기술계열] 등록일: 2013/03/28 | 등록자: leewk2547 | 판매가격: 2,000 원
논리회로설계 프로젝트 자유주제 : 영상 스크램블러 작품설명 회로 입출력 및 기능 블록 다이어그램 Sram주요 코드 설명
28 pages | 다운로드 0 | 구매평가
디지털회로실험 예비보고서-산술논리 연산 장치 [새창] →미리보기
[자연과학계열] 등록일: 2012/05/30 | 등록자: leewk2547 | 판매가격: 2,200 원
연산과 논리 동작을 담당한다. 몇몇 프로세서들에서는 ALU가 연산장치(AU)와 논리장치(LU)의 두 부분으로 나뉘어져있는 경우도 있다. 또, 어떤 프로세서들은 1개 이상의 AU가 장착된 경우도 있는데, 예를 들어 고정...
13 pages | 다운로드 1 | 구매평가
디지털논리회로요약 [새창]
[기타] 등록일: 2007/05/50 | 등록자: CPIA_webmaster | 판매가격: 1,000 원
디지털논리회로요약
2 pages | 다운로드 2 | 구매평가
[논리회로설계실험]Decoder와 Encoder설계 [새창] →미리보기
[공학,기술계열] 등록일: 2019/07/02 | 등록자: dozagis | 판매가격: 1,500 원
논리회로 설계실험 보고서로써 Decoder 와 Encoder 설계입니다. 레포트작성하시는데 있어서 참고자료로 활용하세요 모두들 열공하셔서 A+ 받으시기를 바랍니다.
7 pages | 다운로드 0 | 구매평가
기계자동차공학 실험 - AND, OR, NOT 게이트를 통한 논리회로 설계, 7-세이그먼트 켜기 [새창] →미리보기
[공학,기술계열] 등록일: 2013/05/08 | 등록자: leewk2547 | 판매가격: 2,000 원
논리회로 설계  - 7-segment 켜기 ■ 목 표 1.AND,OR,NOT 게이트의 기호와 동작특성을 이해한다. 2.실험을 통해 AND,OR,NOT 게이트의 진리표(Truth Table)를 이해한다. 3.논리소자들의 작동법을 익힌다....
8 pages | 다운로드 0 | 구매평가
[공과기술] 논리 게이트 / 실험 1. 논리 게이트 1. 이 론 게이트(gate)란 회로 [새창] →미리보기
[공학,기술계열] 등록일: 2008/11/04 | 등록자: skadkfl | 판매가격: 1,000 원
실험 1. 논리 게이트 1. 이 론 게이트(gate)란 회로 내에서 전압...
5 pages | 다운로드 2 | 구매평가
[논리회로설계실험]커피자판기설계 보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2019/08/02 | 등록자: dozagis | 판매가격: 1,500 원
커피자판기 (FSM 설계) 1. 실험내용 1) 다음 상태도와 동작에 따라 커피자판기를 설계하시오. 2. 실험결과 1) D FlipFlop
5 pages | 다운로드 1 | 구매평가
[디지털논리회로] Smart Traffic Light Controller 설계(STLC 설계) [새창] →미리보기
[공학,기술계열] 등록일: 2015/05/12 | 등록자: leewk2547 | 판매가격: 2,000 원
- Specification of STLC - I/O signal description - Block diagram of system - State diagram Verilog HDL source code Test plan & Result Simulation results in waveform Conclusions & Comments
13 pages | 다운로드 0 | 구매평가
논리회로실험 - sampling 이론과 nyquist주파수 matlab 실험 [새창] →미리보기
[공학,기술계열] 등록일: 2014/06/06 | 등록자: leewk2547 | 판매가격: 2,000 원
샘플링 이론이란 표본화정리(標本化定理)라고도 한다. 구체적으로 말하면, 파형의 주파수 대역을 WHz라고 할 때 그 파형을 나타내는 데 있어서 모든 시각에서의 파고값이 반드시 필요한 것은 아니며, 1/2W 간격의...
4 pages | 다운로드 0 | 구매평가
디지털논리회로 - 고속 동작 곱셈기 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2014/06/05 | 등록자: leewk2547 | 판매가격: 2,000 원
1. 제목: 고속 동작 곱셈기 설계 2. 목적 고속 동작 곱셈기의 설계를 통해 곱셈 과정에 있어서 shift and add를 이해하고 곱셈기 구현을 위한 여러 가지 기법들을 익히며 sequential circuit의 설계 흐름을...
7 pages | 다운로드 0 | 구매평가
디지털논리회로 - VHDL을 이용한 inertial delay와 transport delay 확인 [새창] →미리보기
[공학,기술계열] 등록일: 2014/06/05 | 등록자: leewk2547 | 판매가격: 2,000 원
1. Title: VHDL을 이용한 inertial delay와 transport delay 확인 2. purpose: 작성한 vhdl code와 시뮬레이션 결과를 첨부하고, inertial delay와 transport delay의 차이점에 주목하여 결과를 비교한다. 3....
6 pages | 다운로드 1 | 구매평가
논리회로설계 - vhdl을 이용한 도어락(door lock) 설계 [새창] →미리보기
[자연과학계열] 등록일: 2014/04/21 | 등록자: leewk2547 | 판매가격: 2,000 원
1. 도어락의 개요 도어락은 번호를 입력받아서 그 번호가 일치할 경우 문이 열리고 일정 횟수 이상을 틀릴 경우 알람이 작동한다. 2. 입력, 출력 및 상태 ① 입력 - 클럭 : clk - 리셋 : rst - 입력버튼 :...
13 pages | 다운로드 16 | 구매평가
논리회로 설계 및 실험 - 가산기와 감산기 [새창] →미리보기
[공학,기술계열] 등록일: 2014/04/16 | 등록자: leewk2547 | 판매가격: 1,500 원
IC 으로 구현한 가산기 회로 반가산기는 2개의 2진 입력과 2개의 2진 출력이 필요하다. 두 개의 2진입력이 되면 출력변수로 합(S)과 캐리(S)를 만든다. 예측 : A와 B입력 0과 0, 1과 1은 S는 0이 출력된다....
6 pages | 다운로드 0 | 구매평가
디지털논리회로 실습 보고서 - 코드 변환기 [새창] →미리보기
[공학,기술계열] 등록일: 2013/12/11 | 등록자: leewk2547 | 판매가격: 2,000 원
7486 IC 핀 배치도를 참조하여 아래 회로를 구성한다. 7486의 7번 핀은 접지하고, 14번 핀은 +5V의 전압을 인가한다. B4, B3, B2, B1, B0에 입력신호를 표와 같이 변화시키면서 출력 상태를 기록하여라.
5 pages | 다운로드 0 | 구매평가
rindex = [1]

 

중간시험과제물자료바로가기 씽유 캠퍼스플러스 위로 닫기