로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너
세일즈코드
레포트 > 공학,기술계열 정확도순 최신등록순 다운높은순 분량많은순 낮은가격순 높은가격순
[전자전기] 논리회로실험 - 7 SEG VHDL을 이용한 실험 결과 보고서 / 7 Segmen [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 500 원
7-Segment 제어기 설계 1. 실험내용 구분seg_selMSB -...
3 pages | 다운로드 1 | 구매평가
[전자전기] 논리회로실험 - 1bit 4bits comparator VHDL을 이용한 실험 결과 보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2009/11/04 | 등록자: february | 판매가격: 1,000 원
1bit 비교기, 4bits 비교기
5 pages | 다운로드 1 | 구매평가
[] 논리대수와 드모르간 정리, 간소화⦁논리회로 간소화 실험 [새창]
[공학,기술계열] 등록일: 2010/08/24 | 등록자: khyungy | 판매가격: 600 원
7. 대수논리와 드모르간 정리, 간소화 ∎ 실험목적 ∎ 실험부품 및 사용기기 ∎이론요약 ∎ 실험순서 8. 논리회로 간소화 ∎ 실험목적 ∎ 실험부품 및 사용기기 ∎ 이론요약...
13 pages | 다운로드 7 | 구매평가
[공과기술] 플립플롭 및 래치 [새창] →미리보기
[공학,기술계열] 등록일: 2008/02/26 | 등록자: image | 판매가격: 500 원
플립플롭 및 래치1. 목적순차식 논리회로의 기본 소자인 플립플롭과 래치...
4 pages | 다운로드 2 | 구매평가
TTL IC 7476의 동작원리 [새창]
[공학,기술계열] 등록일: 2007/05/50 | 등록자: CPIA_jykim | 판매가격: 1,100 원
JK플립플롭 논리회로 TTLIC7476 동작원리 트리거링 / ()
4 pages | 다운로드 1 | 구매평가
[전자공학] 디지탈 스톱워치 ( Digital stop watch ) [새창] →미리보기
[공학,기술계열] 등록일: 2009/01/29 | 등록자: qudgns97 | 판매가격: 2,000 원
논리 소자를 이용하여 디지털 스톱 워치를 제작한 과정과 이론을 소개한 프레젠테이션 입니다. 각 제작 흐름 순서대로 동작원리를 자세한 그림으로 표현하였습니다. 디지탈 논리회로를 이해 하는데 많은 도움이 될...
14 pages | 다운로드 11 | 구매평가
[전자회로] 반가산기 및 전가산기에 관한 실험 레포트 [새창] →미리보기
[공학,기술계열] 등록일: 2008/10/09 | 등록자: ladyyes | 판매가격: 2,000 원
반가산기 및 전가산기에 관한 실험 레포트
4 pages | 다운로드 3 | 구매평가
[전자회로 실험] 전자회로 실험 스위칭, 다이오드 회로 실험 레포트 [새창] →미리보기
[공학,기술계열] 등록일: 2008/10/08 | 등록자: ladyyes | 판매가격: 1,000 원
전자회로 실험 스위칭, 다이오드 회로 실험 레포트
7 pages | 다운로드 0 | 구매평가
[실험] 논리 프로브 구성 결과보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2014/11/10 | 등록자: kingport | 판매가격: 1,200 원
보고서 종합 점수 A+ 받은 실험조의 결과보고서 입니다. 서론 실험목적 사용부품 실험방법 실험결과표 결과및고찰 순으로 되어있습니다. 페이지 여백을 최대한 줄인 상태라 총 4페이지로 되어있지만 내용이 훨씬...
4 pages | 다운로드 0 | 구매평가
[컴퓨터구조] 컴퓨터시스템구조 Computer System Architecture (제3판, M. Morris Mano) 1장 연습문제 풀이 [새창] →미리보기
[공학,기술계열] 등록일: 2009/10/23 | 등록자: inter114 | 판매가격: 1,100 원
컴퓨터시스템구조 Computer System Architecture (제3판, M. Morris Mano)의 제 1장 디지털 논리회로 연습문제 풀이입니다 (1~21번)
11 pages | 다운로드 7 | 구매평가
[전자공학과] 디지털시계 [새창] →미리보기
[공학,기술계열] 등록일: 2007/10/25 | 등록자: qordhksgus | 판매가격: 1,000 원
디지털시계를 구성후 오류수정과정 적어놓은 보고서
14 pages | 다운로드 2 | 구매평가
논리프로브 구성 결과보고서 파워포인트 [새창] →미리보기
[공학,기술계열] 등록일: 2011/09/14 | 등록자: bess45 | 판매가격: 4,000 원
논리프로브 구성 결과보고서의 프리젠테이션 자료로서, 이미지와 애니메이션으로 자세한 이론 설명과 실험 설명이 들어간 자료입니다. 제작기간 8시간이 걸렸으며, 시험기간 이론적으로 공부시에도 도움이...
16 pages | 다운로드 0 | 구매평가
VHDL의 모든 것 [새창]
[공학,기술계열] 등록일: 2007/05/50 | 등록자: CPIA_powers | 판매가격: 1,900 원
컴구조회로설계 논리설계컴퓨터구조 VHDL / ()
15 pages | 다운로드 0 | 구매평가
디지털공학실험 - 카운터 예비보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2016/09/21 | 등록자: lbj7844 | 판매가격: 500 원
응용논리회로 : 카운터 (counter) ※ 실험 목적 - 조합논리회로와 순서논리회로에 대한 대표적인 응용회로인 카운터에 관해서 그 동작 특성을 실현한다. 구체적으로, 카운트-업 (count up), 카운트-다운 (count...
7 pages | 다운로드 1 | 구매평가
정보통신 실습 - 드 모르간의 법칙(결과 보고서) [새창] →미리보기
[공학,기술계열] 등록일: 2015/03/17 | 등록자: leewk2547 | 판매가격: 2,000 원
1. 실험 목적 ▣ 드 모르간 법칙을 소자를 이용하여 실험적으로 증명한다. ▣ 드 모르간 법칙을 이용하여 부울대수 변환 및 논리회로를 간소화하는 능력을 익힌다. ▣ 논리소자의 동작을 이해한다. 2. 실험 결과
5 pages | 다운로드 0 | 구매평가
rindex = [1]

 

하계계절시험자료바로가기 씽유 캠퍼스플러스 위로 닫기