로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너
세일즈코드
레포트 > 정확도순 최신등록순 다운높은순 분량많은순 낮은가격순 높은가격순
논리회로설계실험 FND(Flexible Numeric Display)제어 7 segments [새창] →미리보기
[공학,기술계열] 등록일: 2019/07/02 | 등록자: dozagis | 판매가격: 1,500 원
논리회로 설계실험 보고서로써 FND(Flexible Numeric Display)제어설계입니다. 레포트작성하시는데 도움이 되셨으면 좋겠습니다. 모두들 열공하셔서 A+ 받으시기를 바랍니다.
5 pages | 다운로드 0 | 구매평가
논리회로설계 - 영상 스크램블러 [새창] →미리보기
[공학,기술계열] 등록일: 2013/03/28 | 등록자: leewk2547 | 판매가격: 2,000 원
논리회로설계 프로젝트 자유주제 : 영상 스크램블러 작품설명 회로 입출력 및 기능 블록 다이어그램 Sram주요 코드 설명
28 pages | 다운로드 0 | 구매평가
논리회로설계 진수변환기 [새창] →미리보기
[공학,기술계열] 등록일: 2012/09/21 | 등록자: yellow555 | 판매가격: 2,000 원
소개글 논리회로설계 진수변환기.hwp 목차 1. 설 계 목 표 2. 회 로 도 3. 설계결과 4.TROUBLE SHOOTING 5.사용부품& 내역 6.스케줄 참고 자료 ( 파일내에 기재된 참고자료 목록입니다. ) 디지털 논리회로...
9 pages | 다운로드 3 | 구매평가
[컴퓨터개론] 논리회로설계의 소개 [새창] →미리보기
[공학,기술계열] 등록일: 2007/04/04 | 등록자: leodica7 | 판매가격: 1,000 원
[컴퓨터개론] 논리회로설계의 소개 - 대학 레포트 제출자료
6 pages | 다운로드 0 | 구매평가
논리회로설계 - 자판기 설계에 대해 [새창] →미리보기
[공학,기술계열] 등록일: 2013/07/15 | 등록자: leewk2547 | 판매가격: 2,000 원
자판기설계의 목적은 기본적인 순차논리회로를 해석하고 직접 설계하는 능력을 키우고 이를 바탕으로 간단하고 실생활에 이용되는 순차 논리회로를 디자인하는 것이다. 순차논리회로를 디자인 하기위해선 문제를...
6 pages | 다운로드 3 | 구매평가
[논리회로설계실험]커피자판기설계 보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2019/08/02 | 등록자: dozagis | 판매가격: 1,500 원
커피자판기 (FSM 설계) 1. 실험내용 1) 다음 상태도와 동작에 따라 커피자판기를 설계하시오. 2. 실험결과 1) D FlipFlop
5 pages | 다운로드 1 | 구매평가
논리회로설계 - vhdl을 이용한 도어락(door lock) 설계 [새창] →미리보기
[자연과학계열] 등록일: 2014/04/21 | 등록자: leewk2547 | 판매가격: 2,000 원
1. 도어락의 개요 도어락은 번호를 입력받아서 그 번호가 일치할 경우 문이 열리고 일정 횟수 이상을 틀릴 경우 알람이 작동한다. 2. 입력, 출력 및 상태 ① 입력 - 클럭 : clk - 리셋 : rst - 입력버튼 :...
13 pages | 다운로드 16 | 구매평가
논리회로 설계 및 실험 - 가산기와 감산기 [새창] →미리보기
[공학,기술계열] 등록일: 2014/04/16 | 등록자: leewk2547 | 판매가격: 1,500 원
IC 으로 구현한 가산기 회로 반가산기는 2개의 2진 입력과 2개의 2진 출력이 필요하다. 두 개의 2진입력이 되면 출력변수로 합(S)과 캐리(S)를 만든다. 예측 : A와 B입력 0과 0, 1과 1은 S는 0이 출력된다....
6 pages | 다운로드 0 | 구매평가
디지털 논리회로 설계 및 실습 - 논리 프로브 구성 결과 보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2013/12/27 | 등록자: leewk2547 | 판매가격: 2,000 원
목표 □ 7404 인버터를 사용한 간단한 논리 프로브(logic probe) 구성. □ 구성된 논리 프로브를 사용하여 회로 테스트 □디지털 멀티미터와 오실로스코프를 사용하여 논리 레벨 측정과 유효 입력 논리 레벨비교
4 pages | 다운로드 2 | 구매평가
논리회로 설계 - 디코더 인코어 보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2013/04/22 | 등록자: leewk2547 | 판매가격: 2,000 원
1. 개 요 ○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습 ○ TEST bench, simulation 방법 이해 2. 문 제 (1) 3*8 Decoder -Behavioral modeling
6 pages | 다운로드 0 | 구매평가
논리회로 설계- 디코더, 인코더에 대해서 [새창] →미리보기
[공학,기술계열] 등록일: 2012/05/17 | 등록자: leewk2547 | 판매가격: 1,400 원
1. 개 요 ○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습 ○ TEST bench, simulation 방법 이해
6 pages | 다운로드 1 | 구매평가
[전기전자] 쿼터스를 이용한 기본논리회로 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2008/10/10 | 등록자: ladyyes | 판매가격: 3,000 원
쿼터스를 이용한 기본논리회로 설계입니다 실행파일및 실행 관련 쏘스및 실행 모습이랑 여러가지가 첨부되어있습니다.. 도움되실거에요.
32 pages | 다운로드 1 | 구매평가
[논리회로설계실험]Decoder와 Encoder설계 [새창] →미리보기
[공학,기술계열] 등록일: 2019/07/02 | 등록자: dozagis | 판매가격: 1,500 원
논리회로 설계실험 보고서로써 Decoder 와 Encoder 설계입니다. 레포트작성하시는데 있어서 참고자료로 활용하세요 모두들 열공하셔서 A+ 받으시기를 바랍니다.
7 pages | 다운로드 0 | 구매평가
[논리회로설계실험]1bit 비교기 와 4bit 비교기 [새창] →미리보기
[공학,기술계열] 등록일: 2019/07/02 | 등록자: dozagis | 판매가격: 1,000 원
논리회로 설계실험 보고서로써 1bit와 4bit비교기 설계입니다. 레포트작성하시는데 있어서 참고자료로 활용하세요. 모두들 열공하셔서 A+ 받으시기를 바랍니다.
5 pages | 다운로드 0 | 구매평가
기계자동차공학 실험 - AND, OR, NOT 게이트를 통한 논리회로 설계, 7-세이그먼트 켜기 [새창] →미리보기
[공학,기술계열] 등록일: 2013/05/08 | 등록자: leewk2547 | 판매가격: 2,000 원
논리회로 설계  - 7-segment 켜기 ■ 목 표 1.AND,OR,NOT 게이트의 기호와 동작특성을 이해한다. 2.실험을 통해 AND,OR,NOT 게이트의 진리표(Truth Table)를 이해한다. 3.논리소자들의 작동법을 익힌다....
8 pages | 다운로드 0 | 구매평가
rindex = [1]

 

하계계절시험자료바로가기 씽유 캠퍼스플러스 위로 닫기