로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너
세일즈코드
레포트 > 정확도순 최신등록순 다운높은순 분량많은순 낮은가격순 높은가격순
[디지털공학실험] 기본논리게이트 [새창] →미리보기
[공학,기술계열] 등록일: 2013/11/22 | 등록자: leewk2547 | 판매가격: 2,000 원
부울 대수의 함수를 논리함수라고 부르고, 논리함수를 실현하는 전기적 스위칭 회로논리회로라 하며, 이 중 기본이 되는 간단한 것을 논리게이트 또는 단순히 게이트라 한다. 게이트에는 NOT, AND, OR, NAND, N...
7 pages | 다운로드 0 | 구매평가
[디지털 공학] 15 D 래치 및 D 플립-플롭 예비, 결과보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2013/11/22 | 등록자: leewk2547 | 판매가격: 2,000 원
SPDT 스위치의 되튐에 의한 영향을 제거하는 방법에 대한 입증 ●NAND 게이트와 인버터를 이용한 게이트를 D 래치 구성 및 시험 ●D 플립-플롭의 테스트 및 래치와 플립-플롭의 몇 가지 응용회로 조사 ■사용부품
13 pages | 다운로드 3 | 구매평가
[전자공학과] VHDL[VHSIC HardwareDescription Language]에 대하여 [새창] →미리보기
[공학,기술계열] 등록일: 2013/07/30 | 등록자: leewk2547 | 판매가격: 2,000 원
1. VHDL의 뜻 2. VHDL이 만들어진 배경 3. VHDL의 장점 4. VHDL의 단점 5. 하드웨어 디자인과 프로그래밍 언어적 디자인 6. 제품제작에 VHDL이 쓰이는 과정 7. VDHL의 규칙 8. VDHL용어의 정의와 표현 9. VHDL...
10 pages | 다운로드 0 | 구매평가
[디지털 회로 설계] 4-Bit D Flip Flop 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2013/07/30 | 등록자: leewk2547 | 판매가격: 2,000 원
설계 2. 개요 : 1) 목적 : 1 bit flip flop을 통해 4 bit flip flop을 VHDL과 logic gate로 설계한다. 이 과정에서 VHDL의 process의 사용법을 익히고, logic gate의 구현과 process로의 구현의 차이점을 비교해...
8 pages | 다운로드 0 | 구매평가
메카트로닉 - plc[Programmable Logic Controller]에 대해서 [새창] →미리보기
[공학,기술계열] 등록일: 2013/04/22 | 등록자: leewk2547 | 판매가격: 2,000 원
PLC의 정의 PLC 이전의 시퀀스 시스템과 PLC의 개선점 PLC와 컴퓨터 비교 PLC 활용 분야 PLC의 종류 (일체형 PLC)
17 pages | 다운로드 2 | 구매평가
(컴퓨터의 이해/1학년공통)마이크로프로세서의 발전 과정과 컴퓨터 산업에 기여한 점 그리고 최신동향을 A4 용지 2페이지 이내로 자세히 서술하라. [새창] →미리보기
[사회과학계열] 등록일: 2013/03/11 | 등록자: krch114 | 판매가격: 2,000 원
(컴퓨터의 이해/1학년공통)마이크로프로세서의 발전 과정과 컴퓨터 산업에 기여한 점 그리고 최신동향을 A4 용지 2페이지 이내로 자세히 서술하라. 1. 학우님들의 무궁한 발전을 기원합니다. 2. 인터넷 막펌...
4 pages | 다운로드 15 | 구매평가
[IT와경영정보시스템] 서버와 클라이언트 분류기준, 중앙처리장치(CPU)의 세 가지 핵심 모듈 [새창] →미리보기
[기타] 등록일: 2010/10/13 | 등록자: bada | 판매가격: 2,800 원
역, 컴퓨터 시스템 구조, 아이티씨, 2010 William Stallings, 전광일 역, 운영체제 내부구조 및 설계원리, 그린, 2009 존 헤네시, 데이비드 패터슨, 박명순 역, 컴퓨터 구조 및 설계, 비제이퍼블릭, 2010 이문수,...
6 pages | 다운로드 36 | 구매평가
[디지털공학] 디지털공학실험 18장 단안정 및 비안정 멀티바이브레이터 (결과) [새창] →미리보기
[공학,기술계열] 등록일: 2010/04/06 | 등록자: lcs861210 | 판매가격: 1,500 원
디지털공학실험 18장 단안정 및 비안정 멀티바이브레이터 (결과)보고서입니다.
12 pages | 다운로드 4 | 구매평가
[디지털공학] 디지털공학실험 10장 가구공장(예비) [새창] →미리보기
[공학,기술계열] 등록일: 2010/04/06 | 등록자: lcs861210 | 판매가격: 1,500 원
디지털공학실험 10장 가구공장(예비)보고서입니다.
9 pages | 다운로드 1 | 구매평가
[경제경영] TTL IC / TTL과 CMOS IC 1.TTL IC 가격이 싼 IC들을 쉽 [새창] →미리보기
[사회과학계열] 등록일: 2009/11/05 | 등록자: hsc6606 | 판매가격: 700 원
TTL과 CMOS IC 1.TTL IC 가격이 싼 IC들을 쉽게 이용할 ...
3 pages | 다운로드 2 | 구매평가
[전자정보] [레포트]크기비교기 설계 PPT자료 [새창] →미리보기
[공학,기술계열] 등록일: 2009/07/20 | 등록자: alswns447 | 판매가격: 1,000 원
설계를 상세히 나타냄 회로도까지 첨부하여 크기비교기 설계발표하는 학생들에게 적합 [참고자료] 논리회로/신화전산기획/전자회로설계 및 디지털 제어 연구회/2002 디지털 논리설계/아진/황의철/2005...
11 pages | 다운로드 2 | 구매평가
[전자정보] [레포트] PISPICE를 이용하여 3초과 - Gray코드 변환기 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2009/07/20 | 등록자: alswns447 | 판매가격: 700 원
설계를 순차적으로 자세하게 나타내었음. PISPICE를 이용하여 회로도 뿐만 아니라 시뮬레이션까지 탑재 [참고자료] 기초디지털공학/김경복/생능출판사2004 논리회로/홍경호/한빛미디어/2005 [이용대상]
4 pages | 다운로드 1 | 구매평가
[전자정보] JK F/F을 이용한 3Bit동기식 Up/Down counter 설계 [새창] →미리보기
[공학,기술계열] 등록일: 2009/07/20 | 등록자: alswns447 | 판매가격: 1,000 원
3비트 동기식 UP/Down counter 설계 상태도작성, 여기표를 이용하여 진리표 작성, 상태방정식 나타내고 회로설계까지 처음부터 끝까지 카운터 설계를 나타냄 [참고자료] 기초디지털공학/김경복/생능/2004 디지털...
16 pages | 다운로드 3 | 구매평가
[공과기술] VHDL 모델링 및 합성을 통한 3색교통 신호 제어기 설계 / VHDL 모델 [새창] →미리보기
[공학,기술계열] 등록일: 2009/05/18 | 등록자: lspeirs | 판매가격: 1,800 원
VHDL 모델링 및 합성을 통한 3색교통 신호 제어기 설계 목차 1. ...
5 pages | 다운로드 4 | 구매평가
[공과기술] 컴퓨터구조 분석 / 컴퓨터의 구조 목차 모니터, 키보드, 마우스, 스피커 메인보 [새창] →미리보기
[공학,기술계열] 등록일: 2009/05/18 | 등록자: lspeirs | 판매가격: 1,800 원
컴퓨터의 구조 목차 모니터, 키보드, 마우스, 스피커 메인보드 CPU 그...
14 pages | 다운로드 0 | 구매평가
rindex = [1]

 

하계계절시험자료바로가기 씽유 캠퍼스플러스 위로 닫기