로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너
세일즈코드
레포트 > 정확도순 최신등록순 다운높은순 분량많은순 낮은가격순 높은가격순
디지털공학실험 - 기본논리게이트 결과보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2016/09/21 | 등록자: lbj7844 | 판매가격: 500 원
실험 결과 (1) 2 입력 AND, OR 게이트 * 표 1 논리게이트 진리표 (2) NAND 게이트의 응용 * 표 2 NAND 게이트의 응용
4 pages | 다운로드 0 | 구매평가
전자공학 실험 - 논리 게이트의 특성 및 연산회로 [새창] →미리보기
[공학,기술계열] 등록일: 2014/09/24 | 등록자: leewk2547 | 판매가격: 2,000 원
실험 목적 - 논리게이트는 디지털 회로를 구성하는 기본단위이다. 논리게이트(TTL74LS04)입출력의 전기적 특성을 실험을 통해 알아보고, 논리식을 조합논리회로로 구현하고 실험을 통해 진리표를 얻어본다.
14 pages | 다운로드 0 | 구매평가
[디지털공학실험] 기본논리게이트 [새창] →미리보기
[공학,기술계열] 등록일: 2013/11/22 | 등록자: leewk2547 | 판매가격: 2,000 원
1. 목 적 기본논리게이트인 NOT, AND, OR, NAND, NOR, XOR 게이트의 동작 특성 및 응용에 대하여 살펴본다. 2. 이 론 디지털 시스템은 “1”과 “0” 두 가지 상태만을 가지는 소자들로 구성되며, 이들의...
7 pages | 다운로드 0 | 구매평가
[디지털공학] 디지털공학실험 5장 논리게이트-2 결과보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2010/04/06 | 등록자: lcs861210 | 판매가격: 1,500 원
디지털공학실험 5장 논리게이트-2 결과보고서입니다.
8 pages | 다운로드 5 | 구매평가
[디지털공학] 디지털공학실험 5장 논리게이트-2 예비보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2010/04/06 | 등록자: lcs861210 | 판매가격: 1,500 원
디지털공학실험 5장 논리게이트-2 예비보고서입니다.
9 pages | 다운로드 3 | 구매평가
[디지털공학] 디지털공학실험 4장 논리게이트-1 예비보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2010/04/06 | 등록자: lcs861210 | 판매가격: 1,500 원
디지털공학실험 4장 논리게이트-1 예비보고서입니다.
12 pages | 다운로드 4 | 구매평가
[공과기술] 논리 게이트 / 실험 1. 논리 게이트 1. 이 론 게이트(gate)란 회로 [새창] →미리보기
[공학,기술계열] 등록일: 2008/11/04 | 등록자: skadkfl | 판매가격: 1,000 원
실험 1. 논리 게이트 1. 이 론 게이트(gate)란 회로 내에서 전압...
5 pages | 다운로드 2 | 구매평가
전기전자공학 - 기본논리 게이트 [새창] →미리보기
[공학,기술계열] 등록일: 2013/05/09 | 등록자: leewk2547 | 판매가격: 2,000 원
논리게이트인 NOT, AND, OR, NAND, NOR, XOR 게이트의 동작 특성 및 응용에 대하여 살펴본다. 이 론 디지털 시스템은 “1”과 “0” 두 가지 상태만을 가지는 소자들로 구성되며, 이들의 논리연산에는 부울 대수가...
10 pages | 다운로드 1 | 구매평가
[레포트] 논리게이트기초 / 논리게이트 1. 목 적 (1) 여러 가지 논리게이트 중에서 [새창] →미리보기
[기타] 등록일: 2009/05/18 | 등록자: lspeirs | 판매가격: 1,800 원
논리게이트 1. 목 적 (1) 여러 가지 논리게이트 중에서 기본적인 AN...
6 pages | 다운로드 0 | 구매평가
논리게이트 (기본게이트,스위칭,트랜지스터 회로도) [새창]
[기타] 등록일: 2007/05/50 | 등록자: CPIA_powers | 판매가격: 1,900 원
논리설계 논리게이트OR게이트 AND게이트NOT게이트 / ()
4 pages | 다운로드 5 | 구매평가
[실험] 논리게이트 예비보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2014/11/10 | 등록자: kingport | 판매가격: 1,200 원
보고서 종합 점수 A+ 받은 실험조의 예비보고서 입니다. 실험목표 사용부품 관련이론 실험방법 순으로 되어있습니다.
7 pages | 다운로드 0 | 구매평가
논리회로 - 부울대수, 논리게이트, 카르노맵에 관해 [새창] →미리보기
[자연과학계열] 등록일: 2014/04/20 | 등록자: leewk2547 | 판매가격: 2,000 원
부울대수(Boolean Algebra) 논리게이트(Logic Gate) 카르노맵(Karnaugh Map) 2변수, 3변수, 4변수 카르노맵 간소화 방법 문제 풀이
17 pages | 다운로드 1 | 구매평가
[디지털공학] 디지털공학실험 4장 논리게이트-1 결과보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2010/04/06 | 등록자: lcs861210 | 판매가격: 1,500 원
디지털공학실험 4장 논리게이트-1 결과보고서입니다.
7 pages | 다운로드 4 | 구매평가
[실험] 수의 체계, 논리 게이트 결과보고서 [새창] →미리보기
[공학,기술계열] 등록일: 2014/11/10 | 등록자: kingport | 판매가격: 1,500 원
보고서 종합 점수 A+ 받은 실험조의 결과보고서 입니다. 서론 실험목적 사용부품 데이터및관찰내용 비고및고찰 순으로 되어있습니다. 페이지 여백을 최대한 줄인 상태라 총 10페이지로 되어있지만 내용이 훨씬...
10 pages | 다운로드 0 | 구매평가
논리게이트 - VHDL 설계 언어 실습 [새창] →미리보기
[공학,기술계열] 등록일: 2014/01/19 | 등록자: leewk2547 | 판매가격: 2,000 원
library ieee; use ieee.std_logic_1164.all; entity andgate is port( sw1 : in std_logic; sw2 : in std_logic; led : out std_logic); end andgate; architecture sample of andgate is begin led <= sw1 and...
26 pages | 다운로드 0 | 구매평가
rindex = [1]
12345

 

중간시험과제물자료바로가기 씽유 캠퍼스플러스 위로 닫기