로그인 회원가입 고객센터
레포트자기소개서방송통신서식공모전취업정보
campusplus
세일즈코너배너
자료등록배너
세일즈코드
레포트 > 공학,기술계열 정확도순 최신등록순 다운높은순 분량많은순 낮은가격순 높은가격순
시뮬레이션 2024년 2학기 방송통신대 중간과제물)교재 3.4절의 예제에 아래와 같이 변경된 조건을 대입하여 시뮬레이션하고 프로그램과 결과를 제출한다. 또한 처음부터 도착.. [새창] →미리보기
[공학,기술계열] 등록일: 2024/09/08 | 등록자: sunnyfanta | 판매가격: 8,000 원
- 교재를 중심으로 다양한 문헌을 참고하여 쉽고 자세한 설명을 담아 정성을 다해 명확하게 작성하였습니다. - 교재 3.4절의 예제에 주어진 변경 조건을 대입하여 시뮬레이션하고 프로그램과 결과를 명확하게...
5 pages | 다운로드 0 | 구매평가
#메이플스토리 경매장 #주흔 낚시꾼들꺼 사재기.zip #주흔낚시꾼 낚기 #9999개 600만 이득 [새창]
[공학,기술계열] 등록일: 2020/04/13 | 등록자: ddangkug | 판매가격: 5,000 원
#메이플스토리 경매장 #주흔 낚시꾼들꺼 사재기.zip #주흔낚시꾼 낚기 #9999개 600만 이득 필요물품을 알집에 구성과 모르는부분있으면 메일 보내주세요
1 pages | 다운로드 2 | 구매평가
디지털시스템설계 - ARM7 Thumb instruction을 수행하는 microprocessor VHDL 레포트 [새창] →미리보기
[공학,기술계열] 등록일: 2019/05/24 | 등록자: dkalshek | 판매가격: 10,000 원
instruction을 수행하는 microprocessor을 VHDL로 설계한다. 이에 대한 코드와 레포트 모두 있습니다. vhdl 실행 하시면 그냥 실행됩니다. 아주대학교 양회석 교수님 19-1학기 과제2 입니다. 매우 어려웠던...
13 pages | 다운로드 0 | 구매평가
컴퓨터 비전 과제 [새창]
[공학,기술계열] 등록일: 2018/12/09 | 등록자: szero0707 | 판매가격: 50,000 원
2018년 영*대학교인가, *남대학교의, 컴퓨터비전 교과목 시간에 제출햇던 과제입니다. 주석처리가 아주 깔끔하게 하엿습니다. C# 언어로 만들었고, GUI도 깔끔하게 완성하였습니다.
10 pages | 다운로드 0 | 구매평가
[디지털 논리 설계 이론] 종이 헬리콥터 제작 (인천대학교 전자공학과) [새창] →미리보기
[공학,기술계열] 등록일: 2014/05/01 | 등록자: pureask | 판매가격: 2,000 원
: 디지털 논리 설계 이론 프로젝트명 : 종이 헬리콥터 실행계획서 담당교수 : 인천대학교 김교선 교수님 디지털 논리 설계 이론 수업시간에 학습한 6 시그마 기법을 이용하여 종이 헬리콥터 실행계획서를 제작
54 pages | 다운로드 2 | 구매평가
[공과기술] 자기주도적 학습 / 교육방법 및 교육공학 / 자기주도적 학습 .. [새창] →미리보기
[공학,기술계열] 등록일: 2007/12/07 | 등록자: behappy20 | 판매가격: 1,000 원
교육방법 및 교육공학 자기주도적 학습 ...
14 pages | 다운로드 5 | 구매평가
인터넷 활용 문제중심 교수-학습 모형 [새창]
[공학,기술계열] 등록일: 2007/05/50 | 등록자: CPIA_pinker82 | 판매가격: 4,100 원
문제중심교수학습모형 정보통신 인터넷 / ()
42 pages | 다운로드 0 | 구매평가
rindex = [1]
1

 

중간시험과제물자료바로가기 씽유 캠퍼스플러스 위로 닫기